首页 NC-Verilog

NC-Verilog

举报
开通vip

NC-VerilogNC-VerilogNC-Verilog概述 Cadence的仿真工具NC-Verilogsimulator 在NC-Launch上进行设计仿真 在SimVision分析环境下对设计中的问题进行调试NC-Verilog概述 在NC-Launch(用于管理大型设计的图形交互接口)上进行设计的仿真。NCLaunch帮助你配置和启动编译器,描述器和仿真器。NC-Verilog概述 在SimVision分析环境下进行对设计中的问题的调试。SimVision是一个candence仿真器统一的图形化的调试环境。SimVisio...

NC-Verilog
NC-VerilogNC-Verilog概述 Cadence的仿真工具NC-Verilogsimulator 在NC-Launch上进行 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 仿真 在SimVision 分析 定性数据统计分析pdf销售业绩分析模板建筑结构震害分析销售进度分析表京东商城竞争战略分析 环境下对设计中的问题进行调试NC-Verilog概述 在NC-Launch(用于管理大型设计的图形交互接口)上进行设计的仿真。NCLaunch帮助你配置和启动编译器,描述器和仿真器。NC-Verilog概述 在SimVision分析环境下进行对设计中的问题的调试。SimVision是一个candence仿真器统一的图形化的调试环境。SimVision可以用于调试用verilog,vhdl,SystemC或者它们混合写成的数字,仿真,或数模混合电路的设计。两种模式运行SimVision Simulate模式在Simulate模式下你可以实时的看到仿真的数据。也就是说,你可以在仿真的过程中就进行数据的分析。你可以通过对设计设置断点和分步来达到控制仿真的。控制台窗口ConsoleWindow源浏览器SourceBrowser设计浏览器DesignBrowser循环阅读器CycleViewer原理图追踪SchematicTracer信号流浏览器SignalFlowBrowser波形窗口WaveformWindow寄存器窗口RegisterWindow两种模式运行SimVision Post-processingenvironment(PPE)模式在PPE模式下,对仿真结果数据的分析是在仿真过程结束了后进行的。除了仿真器之外,你能访问所有的SimVision的工具。要在PPE模式下运行,你必须先对设计进行仿真,然后把仿真的资料存到一个文件中,你可以随时从simulation模式切换到PPE模式,但是不能在PPE模式切换到simulation模式中去。准备工作介绍 在仿真已有的设计以前,必须编译和描述它。编译过程将把源文件中的用HDL编写的单元编译成内在的描述。描述设计将在设计的实例化,结构化信息的基础上建立设计的层次结构,建立信号的连接,计算所有对象的初始值。编译,描述和仿真自己的设计要用到以下的工具: Ncvlog:编译Verilog源文件。 ncelab描述设计并且生成仿真的snapshot。 ncsim对snapshot进行仿真仿真。准备工作介绍 本例将演示如何在多步实现(multi-step)的模式下使用NCLaunch。 文件路径启动NClaunch 在拷贝了源文件的文件夹下面启动 nclaunch-new& 多步模式(MultipleStep)使用ncvlog和ncelab命令来编译和描述你的设计;单步模式(SingleStep)使用ncverilog命令。选择多步模式。选择多步模式Multiplestep选择creatcds.libfile,弹出第二个对话框,save,在新对话框中点击ok,之后在最初的对话框中点击Ok。nclaunch的主窗口:左边的窗口中显示了当前目录下的所有文件,在编译和描述后会在右边显示设计的库。在在仿真你的设计以前,必须用编译器编译源文件,并且用描述器(elaborator)把设计描述成snapshot的形式。NCLaunch的主窗口让你可以连接你编译和描述设计所需要的工具VHDL编译器(compiler)Verilog编译器(compiler)NC浏览(NCBrowse)描述器(Elaboretor)仿真/仿真器(Simulator)波形察看窗口(WaveformWindow)选中2个.v文件点击进行编译,之后会在右边窗口中看到帽子图标(工作库)下面产生2个文件。现在要描述你的设计:要展开库(worklib),选择顶层单元(也就是测试中的module),然后选择描述按钮(elabrate)但是在这之前要设置参数,选中module后选择toolsElaborator进行设置。设置参数时注意将AccessVisibility按钮选中并且它的值是All,这个选项意味着全部存取(读,写,连接探测)来仿真目标,这样就可以在仿真的数据库里面探测目标和范围,调试你的设计。由于不是所有的代码都加了时间,为防止报错在此处加上时间。然后点击ok即可。在上述 步骤 新产品开发流程的步骤课题研究的五个步骤成本核算步骤微型课题研究步骤数控铣床操作步骤 之后,会生成一个你的设计对应的snapshot,仿真就是针对这个Snapshot进行的。如图示,选中该文件,点击仿真按钮之后就启动了simulator,会弹出2个窗口:设计浏览器(DesignBrowser)和控制窗口(Consolewindow)设计浏览器(DesignBrowser)在启动的时候,控制窗口(ConsoleWindow)有两个窗口。SimVison窗口让你可以输入SimVison的命令;仿真器(simulator)窗口让你可以输入Tclsimulator命令。在你运行仿真的时候,控制窗口(consolewindow)同样会在SimVision和simulation窗口中显示消息。控制窗口(ConsoleWindow)SimVision让你控制和查询你的设计仿真。SimVision中你可以存储个别目标或者范围的仿真数据,这可以使得仿真数据尽量的小。因此需要设置探测类型:在设计窗口中,点击test_drink_machine图示旁边的加号,展开设计的层次结构。选择顶层模块-->选择菜单Simulation--〉CreateProbe本例中是对所有的模表和范围进行探测,因此是从顶层开始的。针对这个探测:·选择Includesub-scopes下拉菜单中的all选项以包含所有设计的子域。·选择Includewithineachscope下拉菜单中的all选项以包含输入,输出和端口。·取消选中Addtowaveformdisplay。在控制窗口(consolewindow)中选择Simulation—〉Run。SimVision就会仿真,然后把仿真的结果数据存在默认的数据库里面。执行操作之后会显示以波形的形式研究仿真的资料在u_drink_machine模块中选择要显示在波形窗口中的信号。选中后点击waveform按钮这样就可以打开波形窗口了。有波形显示的波形显示窗口当观察一个波形的时候,有时候把信号的值显示成ASCII码串很有用。比如说,当state的值是1的时候,用户投入了5美分;当state的值是2的时候,用户投入了10美分。把state的波形显示成5和10比显示成1和2更容易理解。要把信号定义信号值为ASCII串,需要定义一个mnemonicmap:在波形窗口中选中该信号,然后选择Windows—〉Tools—>MnemonicMaps打开MnemonicMaps的属性设置窗口点击新建map按钮新建一个mnemonicmap定义mnemonicmap的第一个入口:改变默认的进制,点击’h不放然后选择’d。双击ValuesMatching…区域,输入0,然后按Tab,进入RelabelAs…区域。在其中键入idle,再按tab键继续修改。按照上图所示进行修改即可,完成后点击表示确认选择—〉File—〉CloseWindow关闭选项窗口如果对源代码进行了修改,则要在重新生成一次snapshot,然后在ConsoleWindow点击simulationreinvokesimulator出现图示对话框:选择yes即可此时波形窗口如图所示。再在ConsoleWindow点击simulationrun即可谢谢!
本文档为【NC-Verilog】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_952034
暂无简介~
格式:ppt
大小:932KB
软件:PowerPoint
页数:0
分类:互联网
上传时间:2017-06-27
浏览量:651