首页 基于单片机的可调直流稳压电源设计

基于单片机的可调直流稳压电源设计

举报
开通vip

基于单片机的可调直流稳压电源设计 机电综合应用 题 目: 基于单片机的直流可调电源的设计 系部名称: 信息**** 专业班级: 电 气083班 学生姓名: * * 学 号: 200880884327 指导教师: * * * 教师职称: 讲 师 2011年 12月 26日 摘要 随着电子技术的迅速发展, 直流电源应用非常广泛, 其好坏直接影响着电气设备或控制系统的工作性能, 目前, 市场上各直流电源的基本环节大致相同,主要都包括交流电源、交流变压器(有...

基于单片机的可调直流稳压电源设计
机电综合应用 题 目: 基于单片机的直流可调电源的 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 系部名称: 信息**** 专业班级: 电 气083班 学生姓名: * * 学 号: 200880884327 指导教师: * * * 教师职称: 讲 师 2011年 12月 26日 摘要 随着电子技术的迅速发展, 直流电源应用非常广泛, 其好坏直接影响着电气设备或控制系统的工作性能, 目前, 市场上各直流电源的基本环节大致相同,主要都包括交流电源、交流变压器(有时可以不用)、整流电路、滤波稳压电路等。因此对稳压直流电源的工作原理的理解非常必要。 本文运用了将单片机控制系统应用于开关稳压电源的 方法 快递客服问题件处理详细方法山木方法pdf计算方法pdf华与华方法下载八字理论方法下载 和原理,提出的开关电源可调稳压输出的观点。认为可以实现开关电源的数控调节,并通过分析稳压工作原理、电压调节方法、AD芯片和单片机的工作原理,使输出电压下实现了0.1 ~15V步进调节。最终得出了直流开关电源在单片机的控制下能够输出稳定的、可调的直流电压的结论。 该可调稳压电源不仅具有开关电源体积小,损耗低的优点,还具有线性电源输出电压纹波小,输出特性好的优点。并且引入单片机控制,使其在功能上具有一定智能化,能够满足一般低限度场合的供电需要。具有一定的的研究意义及实用价值。 关键字:稳压电源,单片机,AD芯片 Adjustable DC Regulated Switching Power Supply Base On Single-chip Microcomputer Abstract With the rapid development of electronic technology, the DC power supply is widely used, its quality directly affects the electrical device or control the working performance of the system, at present, the market of the direct current power supply the basic link of roughly the same, mainly includes the AC power, the AC transformer ( sometimes can not), a rectification circuit, a filtering voltage stabilizing circuit. So the regulated DC power supply, the working principle of the understanding is necessary. This paper discusses the application of the single chip microcomputer control system applied in switching power supply method and principle, put forward switching power supply adjustable voltage regulator output point of view. That can realize switching power supply control regulation, and through the analysis of the working principle of voltage, voltage regulation method, A / D chip and the principle, so that the output voltage to achieve 0.1 ~ 15V step regulator. Finally draw the conclusion that the DC switching power supply under the control of single-chip microcomputer can output stable, adjustable DC voltage to the conclusion. The adjustable regulated power supply not only has the switching power supply has the advantages of small volume, low loss, also has the advantages of small output ripple voltage of linear power supply, output characteristics of good advantages. And the introduction of SCM control, its function has certain intelligence, can satisfy the general low limit the power needs of occasions. Has certain research value and practical significance. Keywords: Regulated Power Supply SCM A D Chip 目录 11 引言 22 设计任务及要求 22.1 设计目的 22.2 设计 内容 财务内部控制制度的内容财务内部控制制度的内容人员招聘与配置的内容项目成本控制的内容消防安全演练内容 33 电源系统硬件介绍 33.1 电源的介绍 43.2 AT89S52单片机 43.2.1 AT89S52的功能介绍 43.2.2 AT89S52的个引脚功能 73.3 数码管显示 73.3.1 数码管结构及原理 93.3.2 数码管显示方式 113.4 TLC1543AD转换芯片 113.4.1 TLC1543芯片的工作时序 123.4.2 TLC1543的软硬设计要点 133.4.3 TLC1543芯片的应用 144 电源设计 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 论证 144.1 设计方案分析 154.2 方案选择 165 电源硬件电路设计 165.1 Protel 99SE软件 165.2 电源原理图设计 165.2.1 电路原理图设计 215.2.2 电路软件设计 225.3 电路板的焊接及调试 225.3.1 电路板的焊接 24结论 25致谢 26参考文献 27附录 1 引言 随着电力电子技术的迅速发展, 直流电源应用非常广泛, 其好坏直接影响着电气设备或控制系统的工作性能。直流稳压电源是电子技术常用的设备之一,广泛的应用于教学、科研等领域。传统的多功能直流稳压电源功能简单、难控制、可靠性低、干扰大、精度低且体积大、复杂度高。而基于单片机控制的直流稳压电源能较好地解决以上传统稳压电源的不足。 直流稳压电源已广泛地应用于许多工业领域中。在工业生产中(如电焊、电镀或直流电机的调速等),需要用到大量的电压可调的直流电源,他们一般都要求有可以方便的调节电压输出的直流供电电源。目前,由于开关电源效率高,小型化等优点,传统的线性稳压电源、晶闸管稳压电源逐步被直流开关稳压电源所取代。开关电源主要的控制方式是采用脉宽调制集成电路输出PWM 脉冲,采用模拟PID调节器进行脉宽调制,这种控制方式,存在一定的误差,而且电路比较复杂。本文设计了一种以高性能单片机为控制核心的输出电压大范围连续可调的功率开关电源,由单片机直接产生PWM 波,对开关电源的主电路执行数字控制,电路简单,功能强大。因此本次课程设计安排对学生的专业知识的学习有很大的意义。 课程设计应强调能力培养为主,在独立完成设计任务的同时,还要注意其他几方面能力的培养与提高,如独立工作能力与创造力;综合运用专业及基础知识的能力,解决实际工程技术问题的能力;查阅图书资料、产品手册和各种工具书的能力;工程绘图的能力;书写技术 报告 软件系统测试报告下载sgs报告如何下载关于路面塌陷情况报告535n,sgs报告怎么下载竣工报告下载 和编制技术资料的能力。在专业知识与研究方法方面为日后的毕业设计乃至毕业后的工作奠定良好的基础。 本次课设主要适用于自动化、电气工程及其自动化、测控与仪器等专业,要求学生们具备数字电路、模拟电路、电路基础、自动控制、电力电子、 C语言、电气控制相关课程的知识,并具备一些基本的实践操作水平,为以后的就业打好一定的基础。 2 设计任务及要求 2.1 设计目的 1.学习基本理论在实践中综合运用的初步经验,掌握模拟电路结合单片机设计电路的基本方法、设计步骤,培养综合设计与调试能力。 2. 学会直流稳压电源的设计方法和性能指标测试方法,巩固单片机的学习应用。 3. 培养实践技能,提高分析和解决实际问题的能力。 2.2 设计内容 设计数显式直流稳压电压源,要求完成以下主要技术指标: 1. 当输入交流电压为220v±10%时,输出电压在0~13V连续可调; 2. 额定电流为1A,且纹波不大于1mV; 3. 使用按键设置电压,同时具有常用电平快速切换功能(3V、5V、6V、9V、12V),设定后按键可以锁定,防止误触动; 4. 显示设定电压和测量电压,显示精度为0.01V,显示方式LCD液晶显示; 扩展要求: 两级过流保护功能:当电流超过额定值的20%达到5秒时,电路做断电操作;当电流超过额定值的50%时,电路立即断开,并带有报警提示; 掉电以后可记忆上一次的设定值 2.3 设计步骤 1. 查阅有关资料,完成总体设计框图 2. 完成设计框图各个部分的详细设计,并选择合适参数的电子元器件完成各部分电路,绘制电路原理图。统计所有元器件的参数和数量,购买元器件。 3. 将元器件依照电路原理图焊接至电路板上,完成电源的实物制作。 4. 调试电路,根据需要调节元件参数,必要时,替换个别元件。 5. 完成设计报告。 3 电源系统硬件介绍 3.1 电源的介绍 随着电子技术的发展,人们对如何提高电源的转换效率,增强对电网的适应性,缩小体积,减轻重量进入了深入的研究。开关电源应运而生。七十年代,便应用于电视机的接收,现在已经广泛用于彩电,录像机,计算机,通讯设备,医疗器械,气象等行业。 其中电源是电子设备的心脏部分,其质量的好坏直接影响着电子设备的可靠性与稳定性,而且电子设备的故障60%来自电源,因此作为电子设备的基础元件,电源受到越来越多的重视。现代电子设备使用的电源大致有线性稳压电源和开关稳压电源两大类[1]。 所谓线性稳压电源,是指在稳压电源电路中的调整管是工作在线性放大区。将220V、50Hz的工频电压经过线性变压器降压以后,经过整流、滤波和稳压,输出一个直流电压。线性稳压源的优点是:电源稳定度及负载稳定度较高;输出纹波电压小;瞬态响应速度快;线路结构简单,便于维修;没有开关干扰。缺点是:功耗大、效率低,其效率一般只有35~60%;体积大、质量重、不能微小型化;必须有较大容量的滤波电容。其中,交换效率低下是线性稳压电源的重要缺点,造成了资源的严重浪费。在这种背景下,开关稳压电源应运而生。 任何电子设备均需直流电源来供给电路工作。特别是采用电网供电的电子产品。为了适应电网电压波动和电路的工作状态变化,更需要具备适应这种变化的直流稳压电源。 稳压电源的调整管工作在开关状态,主要优越性是交换效率可高达70~95%。开关稳压电源的优越性还体现在:功耗小、效率高。晶体管在激励信号的激励下,交替的工作在导通-截止的开关状态,转换速度很快,频率一般为50kHz左右。开关晶体管的功耗很小,电源的效率可以大幅度的提高,达到80%以上。体积小、重量轻。开关稳压电源里没有采用笨重的工频变压器[2]。调整管上的耗散功率大幅度降低以后,省去了较大的散热片。 而且稳压范围宽。开关电源的输出电压是由激励信号的占空比来调节的,输入信号电压的变化可以通过调频或调宽来控制,在工频电网电压变化较大时,它仍能保证有效的稳定输出电压。 开关稳压电源实现稳压的方法也较多,可以根据实际应用的要求,灵活的选用各种类型的开关稳压电源。电路形式灵活多样。 稳压电源的主要问题是电路比较复杂。输出纹波电压较高,瞬态响应差,并且存在较为严重的开关干扰。当今,开关稳压电源的进一步推广应用的困难是它的制作技术难度大,维修麻烦和成本较高。 稳压电源的效率是与开关管的变换速度成正比的。开关稳压电源中采用了开关变压器,使之由一组输入,得到极性,大小各不相同的多组输出。要进一步提高效率,必须提高电源的工作频率。但是,当频率提高以后,对整个电路元器件的要求,有了进一步的提高。这是需要解决的第二个问题。 工作在线性状态的稳压电源,具有稳压和滤波的双重作用,因而串联线性稳压电源不产生开关干扰,且纹波电压输出较小。但是,在开关稳压电源中的开关管工作在开关状态,其交变电压和输出电流会通过电路中的元器件产生较强的尖峰干扰和谐振干扰。这些干扰会进入市电电网,影响邻近的电子设备的正常工作。克服这一缺点,进一步提高它的使用范围,是要解决的第三个问题。 3.2 AT89S52单片机 AT89S52单片机为ATMEL 所生产的一种低功耗、高性能CMOS8位微控制器,具有8K在系统可编程Flsah存储器[3]。 3.2.1 AT89S52的功能介绍 1、拥有灵巧的8位CPU和在系统可编程Flash。 2、晶片内部具时钟振荡器(传统最高工作频率可至 12MHz)。 3、内部程序存储器(ROM)为 8KB。 4、内部数据存储器(RAM)为 256字节。 5、32 个可编程I/O 口线。 6、8 个中断向量源。 7、三个 16 位定时器/计数器。 8、三级加密程序存储器。 9、全双工UART串行通道。 3.2.2 AT89S52的个引脚功能 图1 at89s52单片机引脚图 VCC:AT89S52电源正端输入,接+5V。 VSS:电源地端。 XTAL1:单芯片系统时钟的反相放大器输入端。 XTAL2:系统时钟的反相放大器输出端,一般在设计上只要在 XTAL1 和 XTAL2 上接上一只石英振荡晶体系统就可以动作了,此外可以在两引脚与地之间加入一 20PF 的小电容,可以使系统更稳定,避免噪声干扰而死机。 RESET:AT89S52的重置引脚,高电平动作,当要对晶片重置时,只要对此引脚电平提升至高电平并保持两个机器周期以上的时间,AT89S51便能完成系统重置的各项动作,使得内部特殊功能寄存器之内容均被设成已知状态,并且至地址0000H处开始读入程序代码而执行程序。 EA/Vpp:"EA"为英文"External Access"的缩写,表示存取外部程序代码之意,低电平动作,也就是说当此引脚接低电平后,系统会取用外部的程序代码(存于外部EPROM中)来执行程序。因此在8031及8032中,EA引脚必须接低电平,因为其内部无程序存储器空间。如果是使用 8751 内部程序空间时,此引脚要接成高电平。此外,在将程序代码烧录至8751内部EPROM时,可以利用此引脚来输入21V的烧录高压(Vpp)。 ALE/PROG:ALE是英文"Address Latch Enable"的缩写,表示地址锁存器启用信号。AT89S52可以利用这支引脚来触发外部的8位锁存器(如74LS373),将端口0的地址总线(A0~A7)锁进锁存器中,因为AT89S52是以多工的方式送出地址及数据。平时在程序执行时ALE引脚的输出频率约是系统工作频率的1/6,因此可以用来驱动其他周边晶片的时基输入。此外在烧录8751程序代码时,此引脚会被当成程序规划的特殊功能来使用。 PSEN:此为"Program Store Enable"的缩写,其意为程序储存启用,当8051被设成为读取外部程序代码工作模式时(EA=0),会送出此信号以便取得程序代码,通常这支脚是接到EPROM的OE脚。AT89S52可以利用PSEN及RD引脚分别启用存在外部的RAM与EPROM,使得数据存储器与程序存储器可以合并在一起而共用64K的定址范围。 PORT0(P0.0~P0.7):端口0是一个8位宽的开路汲极(Open Drain)双向输出入端口,共有8个位,P0.0表示位0,P0.1表示位1,依此类推。其他三个I/O端口(P1、P2、P3)则不具有此电路组态,而是内部有一提升电路,P0在当做I/O用时可以推动8个LS的TTL负载。如果当EA引脚为低电平时(即取用外部程序代码或数据存储器),P0就以多工方式提供地址总线(A0~A7)及数据总线(D0~D7)。设计者必须外加一锁存器将端口0送出的地址栓锁住成为A0~A7,再配合端口2所送出的A8~A15合成一完整的16位地址总线,而定址到64K的外部存储器空间。 PORT2(P2.0~P2.7):端口2是具有内部提升电路的双向I/O端口,每一个引脚可以推动4个LS的TTL负载,若将端口2的输出设为高电平时,此端口便能当成输入端口来使用。P2除了当做一般I/O端口使用外,若是在AT89S52扩充外接程序存储器或数据存储器时,也提供地址总线的高字节A8~A15,这个时候P2便不能当做I/O来使用了。 PORT1(P1.0~P1.7):端口1也是具有内部提升电路的双向I/O端口,其输出缓冲器可以推动4个LS TTL负载,同样地若将端口1的输出设为高电平,便是由此端口来输入数据。如果是使用8052或是8032的话,P1.0又当做定时器2的外部脉冲输入脚,而P1.1可以有T2EX功能,可以做外部中断输入的触发脚位。 PORT3(P3.0~P3.7):端口3也具有内部提升电路的双向I/O端口,其输出缓冲器可以推动4个TTL负载,同时还多工具有其他的额外特殊功能,包括串行通信、外部中断控制、计时计数控制及外部数据存储器内容的读取或写入控制等功能。 其引脚分配如下: P3.0:RXD,串行通信输入。 P3.1:TXD,串行通信输出。 P3.2:INT0,外部中断0输入。 P3.3:INT1,外部中断1输入。 P3.4:T0,计时计数器0输入。 P3.5:T1,计时计数器1输入。 P3.6:WR:外部数据存储器的写入信号。 P3.7:RD,外部数据存储器的读取信号。 RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。 ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8EH地址上置0。此时, ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。 PSEN:外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。 EA/VPP:当/EA保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。注意加密方式1时,/EA将内部锁定为RESET;当/EA端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施加12V编程电源(VPP)。 XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。 XTAL2:来自反向振荡器的输出。 3.3 数码管显示 本次设计显示设备采用四位数码管来显示输出电压。 3.3.1 数码管结构及原理 下图为典型的数码管: 图2 7段LED数码管 如上图,LED显示器又称为数码管,LED显示器由8个发光二极管组成。中7个长条形的发光管排列成“日”字形,另一个贺点形的发光管在显示器的右下角作为显示小数点用,它能显示各种数字及部份英文字母。LEDD显示器有两种不同的形式:一种是8个发光二极管的阳极都连在一起的,称之为共阳极LED显示器;另一种是8个发光二极管的阴极都连在一起的,称之为共阴极LED显示器[4]。如下图所示。 图3 共阴与共阳极LED显示器 LED显示器可分为共阳和共阴两种结构,如上图所示。图上为共阴结构。即把8个发光二极管阴极连在一起。这时如果需要点亮a到g中的任何一盏灯,只需要在相应的端口输入高电平即可;输入低电平则截止。比如我们现在要显示数字“3”,则只要在对应的a、b、c、d、g段送入高电平,在其他端送入低电平即可,点亮为“3”。 共阴和共阳结构的LED显示器各笔划段名和安排位置是相同的。当二极管导通时,相应的笔划段发亮,由发亮的笔划段组合而显示的各种字符。 8个笔划段hgfedcba对应于一个字节(8位)的D7 D6 D5 D4 D3 D2 D1 D0,于是用8位二进制码就可以表示欲显示字符的字形代码。例如,对于共阴LED显示器,当公共阴极接地(为零电平),而阳极hgfedcba各段为0111011时,显示器显示"P"字符,即对于共阴极LED显示器,“P”字符的字形码是73H。如果是共阳LED显示器,公共阳极接高电平,显示“P”字符的字形代码应为10001100(8CH)。 下表列出了共阳极与共阴极LED显示器显示数字、字母与显示代码之间的对应关系。 表1 共阳共阴LED常见字符对应段码表 显示字符 共阴极段码 共阳极段码 显示字符 共阴极段码 共阳极段码 0 3FH C0 8 7FH 80H 1 06H F9 9 6FH 90H 2 5BH A4 A 77H 88H 3 4FH B0 B 7CH 83H 4 66H 99H C 39H C6 5 6DH 92H D 5EH A1H 6 7DH 82H E 79H 86H 7 07H F8 F 71H 8EH 3.3.2 数码管显示方式 点亮LED显示器有两种方式:一是静态显示;二是动态显示。在本次设计中,采用的是静态显示。 所谓静态显示,就是每一个显示器都要占用单独的具有锁存功能的I/O接口用于笔划段字形代码。这样单片机只要把要显示的字形代码发送到接口电路,就不用管它了,直到要显示新的数据时,再发送新的字形码,因此,使用这种方法单片机中CPU的开销小。 这种电路的优点在于:在同一时间可以显示不同的字符;但缺点就是占用端口资源较多。从下图可以看出,每位LED显示器需要单独占用8根端口线,因此,在数据较多的时候,往往不采用这种设计,而是采用动态显示方式。 图4 动态显示图 所谓动态显示,就是将要显示的多位LED显示器采用一个8位的段选端口,然后采用动态扫描一位一位地轮流点亮各位显示器。下图为4位LED显示器动态显示电路。 图5 静态显示图 在此电路中,单片机的P0口用于控制4位LED的段选码:P1口的P1.0~~P1.3用于控制4位LED位选码。 由于所有的段选码连在一起,所以同一瞬间只能显示同一种字符。但如果要显示不同字符,则要借助位选码来控制。(如果LED为共阴则P2.0~~P2.3输出为高电平,如果LED为共阳则P1.0~~P1.3输出为低电平。) 例如,现在要显示5678四个数字,则首先应该将“5”的显示代码(共阴LED显示器的显示代码为6DH,共阳LED显示器的显示代码为92H)由P1.0送出,然后P2.0~~P2.3输出相应位码(LED为共阴则P2.0~~P2.3输出1000,) LED为共阴则P2.0~~P2.3输出0111)时,则可以看到在数码管1上显示的数字为“5”。再将显示的数字“5”延时5~10ms,以造成视觉暂留效果;同时代码由P1.0送出。 用同样的方法将其余3个数字“678”送数码管2,3,4显示,于是最后则可以在4位LED显示器上看到“5678”四个数字。为了使显示效果更加稳定,可以使每个数码管显示的数字不断的重复,但其中重复频率达到了一定的程度的时候,加之人眼睛本身的视觉暂留效果的作用,便可以看到相当稳定的“5678”四个数字。 3.4 TLC1543AD转换芯片 TLC1543美国TI司生产的多通道、低价格的模数转换器。采用串行通信接口,具有输入通道多、性价比高、易于和单片机接口的特点,可广泛应用于各种数据采集系统 。 TLC1543为20脚DIP装的CMOS[5]。 10位开关电容逐次A/D逼近模数转换器,引脚排列下图所示。 其中A0~A10(1~9 、11、12脚)为11 个模拟输入端,REF+(14脚,通常为VCC)和REF-(13脚,通常为地)为基准电压正负端,CS(15脚)为片选端,在CS端的一个下降沿变化将复位内部计数器并控制和使能ADDRESS、I/O CLOCK (18脚)和DATA OUT(16脚)。ADDRESS(17脚)为串行数据输入端,是一个1的串行地址用来选择下一个即将被转换的模拟输入或测试电压。DATA OUT 为A/D换结束3态串行输出端,它与微处理器或外围的串行口通信,可对数据长度和格式灵活编程。I/O CLOCK数据输入/输出提供同步时钟,系统时钟由片内产生。芯片内部有一个14通道多路选择器,可选择11个模拟输入通道或3个内部自测电压中的任意一个进行测试。片内设有采样-保持电路,在转换结束时,EOC(19脚)输出端变高表明转换完成。内部转换器具有高速(10µS转换时间),高精度(10分辨率,最大±1LSB不可调整误差)和低噪声的特点。 图6 1543引脚排列 3.4.1 TLC1543芯片的工作时序 TLC1543工作时序如图2示,其工作过程分为两个周期:访问周期和采样周期。工作状态由CS使能或禁止,工作时CS必须置低电平。CS为高电平时,I/O CLOCK、ADDRESS被禁止,同时DATA OUT为高阻状态。当CPU使CS变低时,TLC1543开始数据转换,I/O CLOCK、ADDRESS使能,DATA OUT脱离高阻状态。随后,CPU向ADDRESS提供4位通道地址,控制14个模拟通道选择器从11个外部模拟输入和3个内部自测电压中选通1 路送到采样保持电路。同时,I/O CLOCK输入时钟时序,CPU从DATA OUT 端接收前一次A/D转换结果。I/O CLOCK从CPU 接收10时钟长度的时钟序列。前4个时钟用4位地址从ADDRESS端装载地址寄存器,选择所需的模拟通道,后6个时钟对模拟输入的采样提供控制时序。模拟输入的采样起始于第4个I/O CLOCK下降沿,而采样一直持续6个I/O CLOCK周期,并一直保持到第10个I/O CLOCK下降沿。转换过程中,CS的下降沿使DATA OUT引脚脱离高阻状态并起动一次I/O CLOCK工作过程。CS上升沿终止这个过程并在规定的延迟时间内使DATA OUT引脚返回到高阻状态,经过两个系统时钟周期后禁止I/O CLOCK和ADDRESS端。 图7 1543工作时序 3.4.2 TLC1543的软硬设计要点 TLC1543三个控制输入端CS、I/O CLOCK、ADDRESS和一个数据输出端DATA OUT遵循串行外设接口SPI协议,要求微处理器具有SPI口。但大多数单片机均未内置SPI口(如目前国内广泛采用的MCS51和PIC列单片机),需通过软件模拟SPI协议以便和TLC1543接口。TLC 1543芯片的三个输入端和一个输出端与51 系列单片机的I/O口可直接连接,具体连接方式可参见图3。 软件设计中,应注意区分TLC1543的11个模拟输入通道和3个内部测试电压地址(后3个地址只用来测试你写的地址是不是正确的,真正使用时不用后三个地址)。附表为模拟通道和内部电压测试地址。程序软件编写应注意TLC1543通道地址必须为写入字节的高四位,而CPU读入的数据是芯片上次A/D转换完成的数据。在本文后附的程序中对此有详细的说明。 表2 1543模拟量输入地址表 3.4.3 TLC1543芯片的应用 TLC1543与89C51接口程序。  TLC1543与89C51接口程序应完全依照TLC1543的工作时序编写,主要由CONVETER 子程序组成。由于转换完成的数据为10位,软件编写时将数据的高位字节存放在2EH单元中,低位字节存放在2FH单元中。其中R4、R3寄存器分别存放TLC1543的通道地址和数量;R1、R2寄存器存放A/D转换结果。 4 电源设计方案论证 4.1 设计方案分析 可调稳压电源的设计可以通过几种方法实现,根据具体的设计要求,通过比较论证来确定我们到底要用哪个方案。 方案一: 采用模拟的分立元件,通过电源变压器、整流滤波电路以及稳压电路,实现稳压电源稳定输出正、负5V、12V、15V并能可调输出0~24电压。如图1.1所示。 但由于模拟分立元件的分散性较大,各电阻电容之间的影响很大,因此所设计的指标不高,而且使用的器件较多,连接复杂,体积较大,供耗也大,给焊接带来了麻烦,同时焊点和线路较多,使成品的稳定性和精度也受到影响。 图8 直流电源基本组成框图 方案二: 以一稳压电源为基础,以高性能单片机系统为控制核心,以稳压驱动放大电路、过流检测电路为外围的硬件系统,在检测与控制软件的支持下实现对电压输出的数字控制,通过对稳压电源输出的电流、电压进行数据采样与给定数据比较,从而调整和控制稳压电源的工作状态及监测开关电路的。采用单片机作为控制器的简易数控直流电源设计方案如图1.2所示。设计方案采用单片机作为控制器完成数控部分、键盘、显示器接口控制。输出部分采用D/A0832与运算放大器UA714,输出电压波形由单片机的输出数据控制,不仅可以输出直流电平,而且只要预先生成波形的量化数据,就可以产生多种波形输出。利用软件和硬件结合的方法来设计稳压电源,其精度和稳定性都有所提高; 图9 可调直流电源设计方案 4.2 方案选择 以上两种方案均可以达到输出稳压电源的要求。方案一是利用纯硬件来实现其功能的,方案二是以单片机核心控制器件,采用软硬件结合来实现的。方案一电源功能简单、难控制、可靠性低、干扰大、精度低且体积大、复杂度高。而方案二基于单片机控制的直流稳压电源能较好地解决以上传统稳压电源的不足。本电源采用全集成电路设计制成,具有短路过载自动保护功能。精度高,连续可调,可用于多路实验用电。 因此,我们采取方案二,通过单片机来控制直流稳压电源的输出。 5 电源硬件电路设计 既然要进行电路设计自然就少不了Protel99 SE [3] 的使用,所以先介绍一下该软件的资料及使用情况。 5.1 Protel 99SE软件 Protel 99SE是ProklTechnology公司开发的基于Windows环境下的电路板设计软件。该软件功能强大,人机界面友好,易学易用,仍然是大中专院校电学专业必学课程,同时也是业界人士首选的电路板设计工具[6]。 Protel 99SE 由两大部分组成:电路原理图设计(Advanced Schematic)和多层印刷电路板设计(Advanced PCB)。其中Advanced Schematic由两部分组成:电路图编辑器(Schematic)和元件库编辑器(Schematic Library)。 一般使用时,进入Design Protel 99se后在Documents中通过右键 “New” 建立 “Schematic Document”文件,打开后即可进行电路原理图的编辑。先按照已画好的电路草图将所有元件找 到拖放到编辑框里。将编辑框缩小,将元件照电路的样子搭好,整体上排列匀称。接下来就可以进行局部的连线了。 或者可以先将电路的各个模块先搭好,再通过框定各模块平移组合成完整的电路,取消框定要通过Edit-》DeSelect-》Inside Area-》再用鼠标框定以前选中的模块,就可以解除,表现为模块由黄色变成普通颜色。 对某个工程的操作是对一个数据库的操作,因此不同的数据库会在不同的窗口中打开, 通过最小化可看高各个数据库的窗口。 电源Protel99 SE [7]共分5个模块,分别是原理图设计、PCB设计(包含信号完整性分析)、自动布线器、原理图混合信号仿真、PLD设计。 5.2 电源原理图设计 5.2.1 电路原理图设计 本节主要介绍该稳压电压的电路组成部分,电路原理图及PCB图。 该直流稳压电源主要由四部分组成:电源变压及整流电路、模数转换电路、单片机控制电路和数码管显示电路。各部分电路如下所示: 1 开关电源电路 该部电路包括变压、 整流、滤波、稳压等各部分电路[8]。 市电经过变压器降压后,通过78L15变为15v,对该电压整流后的一部分电压直接作为开关变换电路的输入电压,另外将其通过78L05得到5v的电压,给开关电源控制电路部分的单片机提供工作电源。 电路中采用发光二极管作为电源指示灯,交流220v降压后经过整流桥整流输出直流电压作为开关变换电路的输入电压,78L05稳压输出5v给单片机提供电源 图10 开关电源电路图 2 模数转换电路 AD转换模块TLC1543具有10位精度 11通道 三种内建的自测模式该芯片与单片机的接口采用串行接口方式,引线很少,与单片机连接简单。如图所示,其中A0~A10是11路输入,Vcc和GND分别是电源引脚,REF+和REF-分别是参考电源的正负引脚,I/O Clock 是芯片的时钟端,Adress 是地址选择端,Data Out是数据输出端,这三根引脚分别接到CPU的三个I/O端即可。EOC用于指示一次AD转换以完成,CPU可以读取数据,该引脚是低电平有效,根据需要改引脚可接入CPU的中断引脚,一旦数据转换完成,向CPU提出中断请求,在CPU向TLC1543发出转换命令后,过一段固定的时间去读取数据即可[9]。 图11 1543芯片转换电路图 3 单片机控制电路 本设计的控制核心使用AT89S52单片机,由于外部设备大多采用串行接口,单片机的外围电路就十分简单,只要外接晶体振荡器和复位电路即可。 图12 单片机接口电路图 4 数码管显示电路 显示电路如下图所示,数码管段码接单机的P0口,位码接单片机的P10—P13口。由于单片机内P0口没有上拉电阻单片机上的P0口需接上上拉电阻,同时段码和P0口之间也需要加电阻以保证数码管。 图13 数码管显示电路图 5 电源硬件电路原理图 图14 开关电源电路原理图 6. 硬件电路PCB图 采用PROTEL 99 SE 进行硬件电路的设计,最后生成的PCB板图如下: 图15 开关电源电路PCB图 5.2.2 电路软件设计 电路软件设计主要是对单片机控制程序的设计。 C语言在单片机的应用中,由于其逻辑性强,可读性好,比汇编语言灵活,简练,目前越来越多的人从普遍使用汇编语言到逐渐使用C语言开发,市场上几种常见的单片机均有其C 语言开发环境。因此,在本系统中,考虑到汇编语言的这些缺点,采用了C语言作为软件设计语言。 在本文前面几节介绍了系统原理图及硬件设计的基础上,进行软件部分的设计。系统编程分为主程序和若干部分程序两个部分,每个部分由若干模块组成,主要包括主程序,TLC1543子程序,延时程序,定时器中断程序等几部分。程序编写如本文附录所示。 5.3 电路板的焊接及调试 5.3.1 电路板的焊接 依照以下步骤焊接元件、组装电路: (1)、准备好电烙铁,剪刀、镊子、焊锡等工具,对电烙铁进行除氧化膜,涂焊锡等处理。 (2)、规划元件在电路板上的位置,注意元件的总体布局,兼顾美观,开关、变阻器位置应便于用户操作。 (3)、在电路板上插好元件,开始焊接,逐步完成各个模块到整个电路的焊接及连线。 (4)、检查焊点,观察有无虚焊点,对部分焊点进行修整。 5.3.2电路板的调试 完成电路焊接及连线以后,按照以下步骤调试电路: (1)、接通220V电源后,调节的滑动变阻器R16,查看数码管输出数据,注意最大值和最小值的变化。 (2)、用万用表测量输出电压,将输出电压调至大约15V,调节与L317CV的iadjd端串连的滑动变阻器,改变输出电压大小。看数码管的示数与万用表的测量值是否一致 (3)、调节输出电压大小,看是否能实现0—15V可调,若不能则改变电路中的out端与gnd端的电阻值,实现在全范围内连续可调。 电路焊接板图片如下: 图16 开关电源硬件焊接实物图 结论 本次的课程设计共**周时间,分别进行了可调直流电源电路原理图的设计,电路仿真图的设计以及实物电路板的焊制几个过程。经过这次的课程设计,我们不仅加深了对 Protel99 SE软件的应用和Proteus仿真软件的了解和使用,还学到了许多课本上没有涉及知识,练习了电路原理图的设计和仿真运行,同时对以前学习的单片机课程进行了一次全面的复习和巩固,收益很大。 第一阶段是对稳压开关电源电路原理图设计,刚开始感觉有一定的难度,主要是对Protel99 SE软件及功能的不了解。但在老师和同学的帮助下还是顺利的完成了,进而对接下来的两个任务有了兴趣。整个课设的过程就是一个学习的过程。因为在课设的过程中,我们必须熟悉电路原理及器件的使用特点,这些都是对课本知识复习和巩固。所以 我觉得课设是一个很重要的学习环节,值得我们应该很认真的去对待!由于本次课程设计是个人独立进行完成的,所以通过这次的课设我更加了解到独立学习的重要性。 这次课设让我对单片机有了进一步的了解,而且对Proteus仿真软件的有了一定了解。体会到了Proteus仿真软件的强大。最主要的是我们能够自己通过单片机焊接事物,这是我们在课堂上是学不到的。极大地增强了我们的动手实践能力。 通过本次课设,能够使我们熟练掌握单片机控制电路的设计、程序编写和整体焊接及系统调试,从而全面地提高我们对单片机的软件、硬件等方面的理解,进而增强我们在实践环节的动手操作能力。譬如,我们可以根据实验指导书的要求,完成开关电源电路的硬件设计、电路器件的选择、单片机软件的运行、以及整体系统调试,并写出完善的设计报告。在进行课设之前,要求我们具备数字电路、模拟电路、电路基础、微机原理、电力电子和单片机等相关课程的知识,并具备一些基本的实践操作水平,为以后的就业打好一定的基础。 致谢 参考文献 [1]  乔恩明.开关电源工程设计快速入门.中国电力出版社.2010.4 [2] 康华光.电子技术基础(模拟部分). 高等教育出版社.2002 [3] 倪晓军.单片机原理与接口技术教程..北京:清华大学出版社,2009 .4 [4]  李广弟,单片机基础. 北京:北京航空航天大学出版社.1994 [4] 康华光.电子技术基础(数字部分). 高等教育出版社.2002 [6] 姚华春. 向华Protel99SE基础教程.北京:人民名邮电出版社,2009 [7] 韩颖. Protel99se在单片机技术实训教学中的应用[J].中国科教创新导刊.2008年31期 [8] 王兆安,刘进军.电力电子技术(第五版). 北京:机械工业出版社.2009.5 [9] 黄俊,秦祖萌.电力电子自关断器件及电路.北京:机械工业出版社.2007.4 [10] 周灵彬,张靖武.PROTEUS的单片机教学与应用仿真[J].单片机与嵌入式系统应用.2008年01期 附录 基于单片机的直流可调电源的设计程序 #include #include #define uint unsigned int #define uchar unsigned char #define _Nop() _nop_() #define nop() _nop_() uchar ge=0,shi=0,bai=0,qian=0; uchar aaa,cc=0; uint t=0,ttt=0; //**定时器中断计数器**// uint a=1234; sbit W1=P1^0; sbit W2=P1^1; sbit W3=P1^2; sbit W4=P1^3; sbit CS=P2^5; //TLC1543与89c52的接口连接线路 sbit DOUT=P2^4; sbit ADIN=P2^3; sbit CLOCK=P2^2; sbit EOC=P2^1; uchar code table[]={ 0xc0,0xf9,0xa4,0xb0, 0x99,0x92,0x82,0xf8, 0x80,0x90,0x88,0x83, 0xc6,0xa1,0x86,0x84 }; void delay(uint z) { uint x,y; for(x=z;x>0;x--) for(y=100;y>0;y--); } void delay_1ms(uint x) { uchar j; while(x--){ for(j=0;j<125;j++) {;} } } uint readTLC1543(uchar port) { uint i ,ad,ad1=0; uchar datah=0,datal=0; CLOCK=0; //根据时序图分别设置CS,CLOCK,EOC CS=0; EOC=1; port<<=4; //用port的值来选择是哪个AD芯片的哪个通道,实验板上接的是A0和A1,因此port的值可以选择0000或0001 for(i=0;i<4;i++)//把通道写入TLC1543芯片中 { ADIN=(bit)(port&0x80); CLOCK=1; CLOCK=0; //只需要CLOCK一个下降沿就能写入,其他接口的电平不变化 port<<=1; //根据时序图,先写入MSB即最高位,需要左移,然后继续写下一位 } for(i=0;i<6;i++)//填充6个CLOCK { CLOCK=1; CLOCK=0; } CS=1; delay(10); CS=0; //等待AD转换 for(i=0;i<2;i++) //取D9和D8位, { DOUT=1; CLOCK=1; datah<<=1; if(DOUT) datah|=0x01; CLOCK=0; } for(i=0;i<8;i++)//取D7~D0位 { DOUT=1; CLOCK=1; datal<<=1; if(DOUT) datal|=0x01; CLOCK=0; } EOC=0; CS=1; ad=(uint)datah; ad<<=8; ad|=datal; return(ad); } void init() { TMOD=0x11; TH0=(65536-100)/256; TL0=(65536-100)%256; TH1=0xe0; TL1=0x78; ET0=1; TR0=1; ET1=1;//定时器1中断允许位 TR1=1;//定时器1运行控制位 } jisuan() { qian=a/1000; bai=a%1000/100; shi=a/10%10; ge=a%10; } void main() { init(); EA=1; while(1) { a=readTLC1543(0); jisuan(); delay_1ms(500); } } //***********中断函数+脉宽调制***********// void timer0() interrupt 1 { TH0=(65536-100)/256; TL0=(65536-100)%256; } void time_1(void) interrupt 3 { TH1=0xe0; TL1=0x78; cc++; if(cc>=4)cc=0; if(cc==0) { W4=1; W1=0; P0=table[qian]; } if(cc==1) { W1=1; W2=0; P0=table[bai]; } if(cc==2) { W2=1; W3=0; P0=table[shi]; } if(cc==3) { W3=1; W4=0; P0=table[ge]; } } 袁节膅薂羄肅蒃薁蚃芀荿薀螆肃芅蕿袈芈膁蚈羀肁蒀蚇蚀袄莆蚇螂肀莂蚆羅袂芈蚅蚄膈膄蚄螇羁蒂蚃衿膆莈蚂羁罿芄螁蚁膄膀螁螃羇葿螀袅膃蒅蝿肈羆莁螈螇芁芇莄袀肄膃莄羂艿蒂莃蚂肂莈蒂螄芈芄蒁袆肀膀蒀罿袃薈葿螈聿蒄葿袁羁莀蒈羃膇芆蒇蚃羀膂蒆螅膅蒁薅袇羈莇薄罿膄芃薃虿羆艿薃袁节膅薂羄肅蒃薁蚃芀荿薀螆肃芅蕿袈芈膁蚈羀肁蒀蚇蚀袄莆蚇螂肀莂蚆羅袂芈蚅蚄膈膄蚄螇羁蒂蚃衿膆莈蚂羁罿芄螁蚁膄膀螁螃羇葿螀袅膃蒅蝿肈羆莁螈螇芁芇莄袀肄膃莄羂艿蒂莃蚂肂莈蒂螄芈芄蒁袆肀膀蒀罿袃薈葿螈聿蒄葿袁羁莀蒈羃膇芆蒇蚃羀膂蒆螅膅蒁薅袇羈莇袄芈蒇袇螀芇蕿蚀聿芆艿蒃肅芅蒁螈羁芄薃薁袆芃芃螆螂芃莅蕿肁节蒈螅羇莁薀薈袃莀艿螃蝿荿莂薆膈莈薄袁肄莇蚆蚄羀莇莆袀袆羃蒈蚂螂羂薁袈肀肁芀蚁羆肁莃袆袂肀薅虿袈聿蚇蒂膇肈莇螇肃肇葿薀罿肆薂螆袅肅芁薈螁膅莃螄聿膄蒆薇羅膃蚈螂羁膂莈蚅袇膁蒀袀螃膀薂蚃肂腿节衿羈腿莄蚂袄芈蒇袇螀芇蕿蚀聿芆艿蒃肅芅蒁螈羁芄薃薁袆芃芃螆螂芃莅蕿肁节蒈螅羇莁薀薈袃莀艿螃蝿荿莂薆膈莈薄袁肄莇蚆蚄羀莇莆袀袆羃蒈蚂螂羂薁袈肀肁芀蚁羆肁莃袆袂肀薅虿袈聿蚇蒂膇肈莇螇肃肇葿薀罿肆薂螆袅肅芁薈螁膅莃螄聿膄蒆薇羅膃蚈螂羁膂莈蚅袇膁蒀袀螃膀薂蚃肂腿节衿羈腿莄蚂袄芈蒇袇螀芇蕿蚀聿芆艿蒃肅芅蒁螈羁芄薃薁袆芃芃螆螂芃莅蕿肁节蒈螅羇莁薀薈袃莀艿螃蝿荿莂薆膈莈薄袁肄莇蚆蚄羀莇莆袀袆羃蒈蚂螂羂薁袈肀肁芀蚁羆肁莃袆袂肀薅虿袈聿蚇蒂膇肈莇螇肃肇葿薀罿肆薂螆袅肅芁薈螁膅莃螄聿膄蒆薇羅膃蚈螂羁膂莈蚅袇膁蒀袀螃膀薂蚃肂腿节衿羈腿莄蚂袄芈蒇袇螀芇蕿蚀聿芆艿蒃肅芅蒁螈羁芄薃薁袆芃芃螆螂芃莅蕿肁节蒈螅羇莁薀薈袃莀艿螃蝿荿莂薆膈莈薄袁肄莇蚆蚄羀莇莆袀袆羃蒈蚂螂羂薁袈肀肁芀蚁羆肁莃袆袂肀薅虿袈聿蚇蒂膇肈莇螇肃肇葿薀罿肆薂螆袅肅芁薈螁膅莃螄聿膄蒆薇羅膃蚈螂羁膂莈蚅袇膁蒀袀螃膀薂蚃肂腿节衿羈腿莄蚂袄芈蒇袇螀芇蕿蚀聿芆艿蒃肅芅蒁螈羁芄薃薁袆芃芃螆螂芃莅蕿肁节蒈螅羇莁薀薈袃莀艿螃蝿荿莂薆膈莈薄袁肄莇蚆蚄羀莇莆袀袆羃蒈蚂螂羂薁袈肀肁芀蚁羆肁莃袆袂肀薅虿袈聿蚇蒂膇肈莇螇肃肇葿薀罿肆薂螆袅肅芁薈螁膅莃螄聿膄蒆薇羅膃蚈螂羁膂莈蚅袇膁蒀袀螃膀薂蚃肂腿节衿羈腿莄蚂袄芈蒇袇螀芇蕿蚀聿芆艿蒃肅芅蒁螈羁芄薃薁袆芃芃螆螂芃莅蕿肁节蒈螅羇莁薀薈袃莀艿螃蝿荿莂薆膈莈薄袁肄莇蚆蚄羀莇莆袀袆羃蒈蚂螂羂薁袈肀肁芀蚁羆肁莃袆袂肀薅虿袈聿蚇蒂膇肈莇螇肃肇葿薀罿肆薂螆袅肅芁薈螁膅莃螄聿膄蒆薇袁节膅薂羄肅蒃薁蚃芀荿薀螆肃芅蕿袈芈膁蚈羀肁蒀蚇蚀袄莆蚇螂肀莂蚆羅袂芈蚅蚄膈膄蚄螇羁蒂蚃衿膆莈蚂羁罿芄螁蚁膄膀螁螃羇葿螀袅膃蒅蝿肈羆莁螈螇芁芇莄袀肄膃莄羂艿蒂莃蚂肂莈蒂螄芈芄蒁袆肀膀蒀罿袃薈葿螈聿蒄葿袁羁莀蒈羃膇芆蒇蚃羀膂蒆螅膅蒁薅袇羈莇薄罿膄芃薃虿羆艿薃袁节膅薂羄肅蒃薁蚃芀荿薀螆肃芅蕿袈芈膁蚈羀肁蒀蚇蚀袄莆蚇螂肀莂蚆羅袂芈蚅蚄膈膄蚄螇羁蒂蚃衿膆莈蚂羁罿芄螁蚁膄膀螁螃羇葿螀袅膃蒅蝿肈羆莁螈螇芁芇莄袀肄膃莄羂艿蒂莃蚂肂莈蒂螄芈芄蒁袆肀膀蒀罿袃薈葿螈聿蒄葿袁羁莀蒈羃膇芆蒇蚃羀膂蒆螅膅蒁薅袇羈莇薄罿膄芃薃虿羆艿薃袁节膅薂羄肅蒃薁蚃芀荿薀螆肃芅蕿袈芈膁蚈羀肁蒀蚇蚀袄莆蚇螂肀莂蚆羅袂芈蚅蚄膈膄蚄螇羁蒂蚃衿膆莈蚂羁罿芄螁蚁膄膀螁螃羇葿螀袅膃蒅蝿肈羆莁螈螇芁芇莄袀肄膃莄羂艿蒂莃蚂肂莈蒂螄芈芄蒁袆肀膀蒀罿袃薈葿螈聿蒄葿袁羁莀蒈羃膇芆蒇蚃羀膂蒆螅膅蒁薅袇羈莇薄罿膄芃薃虿羆艿薃袁节膅薂羄肅蒃薁蚃芀荿薀螆肃芅蕿袈芈膁蚈羀肁蒀蚇蚀袄莆蚇螂肀莂蚆羅袂芈蚅蚄膈膄蚄螇羁蒂蚃衿膆莈蚂羁罿芄螁蚁膄膀螁螃羇葿螀袅膃蒅蝿肈羆莁螈螇芁芇莄袀肄膃莄羂艿蒂莃蚂肂莈蒂螄芈芄蒁袆肀膀蒀罿袃薈葿螈聿蒄葿袁羁莀蒈羃膇芆蒇蚃羀膂蒆螅膅蒁薅袇羈莇薄罿膄芃薃虿羆艿薃袁节膅薂羄肅蒃薁蚃芀荿薀螆肃芅蕿袈芈膁蚈羀肁蒀蚇蚀袄莆蚇螂肀莂蚆羅袂芈蚅蚄膈膄蚄螇羁蒂蚃衿膆莈蚂羁罿芄螁蚁膄膀螁螃羇葿螀袅膃蒅蝿肈羆莁螈螇芁芇莄袀肄膃莄羂艿蒂莃蚂肂莈蒂螄芈芄蒁袆肀膀蒀罿袃薈葿螈聿蒄葿袁羁莀蒈羃膇芆蒇蚃羀膂蒆螅膅蒁薅袇羈莇薄罿膄芃薃虿羆艿薃袁节膅薂羄肅蒃薁蚃芀荿薀螆肃芅蕿袈芈膁蚈羀肁蒀蚇蚀袄莆蚇螂肀莂蚆羅袂芈蚅蚄膈膄蚄螇羁蒂蚃衿膆莈蚂羁罿芄螁蚁膄膀螁螃羇葿螀袅膃螈聿蒄葿袁羁莀蒈羃膇芆蒇蚃羀膂蒆螅膅蒁薅袇羈莇薄罿膄芃薃虿羆艿薃袁节膅薂羄肅蒃薁蚃芀荿薀螆肃芅蕿袈芈膁蚈羀肁蒀蚇蚀袄莆蚇螂肀莂蚆羅袂芈蚅蚄膈膄蚄螈螇芁芇莄袀肄膃莄羂艿蒂莃蚂肂莈蒂螄芈芄蒁袆肀膀蒀罿袃薈葿螈聿蒄葿袁羁莀蒈羃膇芆蒇蚃羀膂蒆螅膅蒁薅袇羈莇薄罿膄芃薃虿羆艿薃袁节膅薂羄肅蒃薁蚃芀荿薀螆肃芅蕿袈芈膁蚈羀肁蒀蚇蚀袄莆蚇螂肀莂蚆羅袂芈蚅蚄膈膄蚄螇羁蒂蚃衿膆莈蚂羁罿芄螁蚁膄膀螁螃羇葿螀袅膃蒅蝿肈羆莁螈螇芁芇莄袀肄膃莄羂艿蒂莃蚂肂莈蒂螄芈芄蒁袆肀膀蒀罿袃薈 芀荿薀螆肃芅蕿袈芈膁蚈羀肁蒀蚇蚀袄莆蚇螂肀莂蚆羅袂芈蚅蚄膈膄蚄螇羁蒂蚃衿膆莈蚂羁罿芄螁蚁膄膀螁螃羇葿螀袅膃蒅蝿肈羆莁螈螇芁芇莄袀肄膃莄羂艿蒂莃蚂肂莈蒂螄芈芄蒁袆肀膀蒀罿袃薈葿螈聿蒄葿袁羁莀蒈羃膇芆蒇蚃羀膂蒆螅膅蒁薅袇羈莇薄罿膄芃薃虿羆艿薃袁节膅薂羄肅蒃薁蚃芀荿薀螆肃芅蕿袈芈膁蚈羀肁蒀蚇蚀袄莆蚇螂肀莂蚆羅袂芈蚅蚄膈膄蚄螇羁蒂蚃衿膆莈蚂羁罿芄螁蚁膄膀螁螃羇葿螀袅膃蒅蝿肈羆莁螈螇芁芇莄袀肄膃莄羂艿蒂莃蚂肂莈蒂螄芈芄蒁袆肀膀蒀罿袃薈葿螈聿蒄葿袁羁莀蒈羃膇芆蒇蚃羀膂蒆螅膅蒁薅袇羈莇薄罿膄芃薃虿羆艿薃袁节膅薂羄肅蒃薁蚃芀荿薀螆肃芅蕿袈芈膁蚈羀肁蒀蚇蚀袄莆蚇螂肀莂蚆羅袂芈蚅蚄膈膄蚄螇羁蒂蚃衿膆莈蚂羁罿芄螁蚁膄膀螁螃羇葿螀袅膃蒅蝿肈羆莁螈螇芁芇莄袀肄膃莄羂艿蒂莃蚂肂莈蒂螄芈芄蒁袆肀膀蒀罿袃薈葿螈聿蒄葿袁羁莀蒈羃膇芆蒇蚃羀膂蒆螅膅蒁薅袇羈莇薄罿膄芃薃虿羆艿薃袁节膅薂羄肅蒃薁蚃芀荿薀螆肃芅蕿袈芈膁蚈羀肁蒀蚇蚀袄莆蚇螂肀莂蚆羅袂芈蚅蚄膈膄蚄螇羁蒂蚃衿膆莈蚂羁罿芄螁蚁膄膀螁螃羇葿螀袅膃蒅蝿肈羆莁螈螇芁芇莄袀肄膃莄羂艿蒂莃蚂肂莈蒂螄芈芄蒁袆肀膀蒀罿袃薈葿螈聿蒄葿袁羁莀蒈羃膇芆蒇蚃羀膂蒆螅膅蒁薅袇羈莇薄罿膄芃薃虿羆艿薃袁节膅薂羄肅蒃薁蚃芀荿薀螆肃芅蕿袈芈膁蚈羀肁蒀蚇蚀袄莆蚇螂肀莂蚆羅袂芈蚅蚄膈膄蚄螇羁蒂蚃衿膆莈蚂羁罿芄螁蚁膄膀螁螃羇葿螀袅膃蒅蝿肈羆莁螈螇芁芇莄袀肄膃莄羂艿蒂莃蚂肂莈蒂螄芈芄蒁袆肀膀蒀罿袃薈葿螈聿蒄葿袁羁莀蒈羃膇芆蒇蚃羀膂蒆螅膅蒁薅袇羈莇薄罿膄芃薃虿羆艿薃袁节膅薂羄肅蒃薁蚃芀荿薀螆肃芅蕿袈芈膁蚈羀肁蒀蚇蚀袄莆蚇螂肀莂蚆羅袂芈蚅蚄膈膄蚄螇羁蒂蚃衿膆莈蚂羁罿芄螁蚁膄膀螁螃羇葿螀袅膃蒅蝿肈羆莁螈螇芁芇莄袀肄膃莄羂艿蒂莃蚂肂莈蒂螄芈芄蒁袆肀膀蒀罿袃薈 输入 稳压电路 滤波电路 整流电路 变压器 220V 负载 U1 U2 U3 u4 U0 U4 PAGE
本文档为【基于单片机的可调直流稳压电源设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: ¥17.0 已有0 人下载
最新资料
资料动态
专题动态
个人认证用户
北溟愚鱼
暂无简介~
格式:doc
大小:1MB
软件:Word
页数:36
分类:工学
上传时间:2018-09-22
浏览量:38